Click here to return to the VHDL Reference Guide. (last edit: 24. september 2012)

Report

A sequential statement which writes out a text message to the simulator log.

Syntax

  [Label:] report StringExpression [severity Expression];
    

Where

See Sequential Statement

Rules

The severity expression must be of type Severity_level, which has the values Note, Warning, Error, Failure. The default severity is Error.

Synthesis

Reports do not represent hardware. Synthesis tools ignore them or give a warning.

Example

  report "Simulation finished" severity Note;
    

See Also

Assert, TEXTIO